From 4ad53f45dc1cdbf3ac0fa99ed7d561c0d5e15e71 Mon Sep 17 00:00:00 2001 From: Galen Charlton Date: Tue, 12 May 2015 16:02:09 -0400 Subject: [PATCH] LP#800706: add regression test Signed-off-by: Galen Charlton Signed-off-by: Jason Stephenson --- .../src/sql/Pg/t/regress/lp800706_ser_indexes_record.pg | 7 +++++++ 1 file changed, 7 insertions(+) create mode 100644 Open-ILS/src/sql/Pg/t/regress/lp800706_ser_indexes_record.pg diff --git a/Open-ILS/src/sql/Pg/t/regress/lp800706_ser_indexes_record.pg b/Open-ILS/src/sql/Pg/t/regress/lp800706_ser_indexes_record.pg new file mode 100644 index 0000000000..cf714e63c2 --- /dev/null +++ b/Open-ILS/src/sql/Pg/t/regress/lp800706_ser_indexes_record.pg @@ -0,0 +1,7 @@ +BEGIN; + +SELECT plan(1); + +SELECT has_index('serial', 'record_entry', 'serial_record_entry_record_idx', ARRAY['record']); + +ROLLBACK; -- 2.43.2