From 8e763e316ffb2ff9d1db05f683b6c7aa447f66e6 Mon Sep 17 00:00:00 2001 From: Jason Stephenson Date: Tue, 25 Apr 2017 13:33:08 -0400 Subject: [PATCH] LP#1545115: Stamping upgrade script for description field in matrix tables Signed-off-by: Jason Stephenson --- Open-ILS/src/sql/Pg/002.schema.config.sql | 2 +- ...ield.sql => 1034.schema.policy_matrix_description_field.sql} | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) rename Open-ILS/src/sql/Pg/upgrade/{XXXX.schema.policy_matrix_description_field.sql => 1034.schema.policy_matrix_description_field.sql} (71%) diff --git a/Open-ILS/src/sql/Pg/002.schema.config.sql b/Open-ILS/src/sql/Pg/002.schema.config.sql index bfeeb14776..d23ebf13d8 100644 --- a/Open-ILS/src/sql/Pg/002.schema.config.sql +++ b/Open-ILS/src/sql/Pg/002.schema.config.sql @@ -91,7 +91,7 @@ CREATE TRIGGER no_overlapping_deps BEFORE INSERT OR UPDATE ON config.db_patch_dependencies FOR EACH ROW EXECUTE PROCEDURE evergreen.array_overlap_check ('deprecates'); -INSERT INTO config.upgrade_log (version, applied_to) VALUES ('1033', :eg_version); -- gmcharlt/kmlussier +INSERT INTO config.upgrade_log (version, applied_to) VALUES ('1034', :eg_version); -- rhamby/gmcharlt/dyrcona CREATE TABLE config.bib_source ( id SERIAL PRIMARY KEY, diff --git a/Open-ILS/src/sql/Pg/upgrade/XXXX.schema.policy_matrix_description_field.sql b/Open-ILS/src/sql/Pg/upgrade/1034.schema.policy_matrix_description_field.sql similarity index 71% rename from Open-ILS/src/sql/Pg/upgrade/XXXX.schema.policy_matrix_description_field.sql rename to Open-ILS/src/sql/Pg/upgrade/1034.schema.policy_matrix_description_field.sql index dda68a1d19..afedb11bc5 100644 --- a/Open-ILS/src/sql/Pg/upgrade/XXXX.schema.policy_matrix_description_field.sql +++ b/Open-ILS/src/sql/Pg/upgrade/1034.schema.policy_matrix_description_field.sql @@ -1,6 +1,6 @@ BEGIN; --- SELECT evergreen.upgrade_deps_block_check('XXXX', :eg_version); +SELECT evergreen.upgrade_deps_block_check('1034', :eg_version); ALTER TABLE config.hold_matrix_matchpoint ADD COLUMN description TEXT; -- 2.43.2